Monday, March 19, 2012

verilog shm dump

ncsim top_cfg -tcl -input dump.tcl

--- dump.tcl having -----
database -open -shm -default
probe -create -shm / -depth all -all
run
exit
----